• 
    

    
    

      99热精品在线国产_美女午夜性视频免费_国产精品国产高清国产av_av欧美777_自拍偷自拍亚洲精品老妇_亚洲熟女精品中文字幕_www日本黄色视频网_国产精品野战在线观看

      ?

      異步電動(dòng)機(jī)矢量控制系統(tǒng)設(shè)計(jì)

      2015-06-01 10:03:03楊金龍張衛(wèi)芳宋紅超
      電氣開(kāi)關(guān) 2015年5期
      關(guān)鍵詞:扇區(qū)變頻矢量

      楊金龍,張衛(wèi)芳,宋紅超

      (黑龍江科技大學(xué)電氣與控制工程學(xué)院,黑龍江 哈爾濱 150022)

      異步電動(dòng)機(jī)矢量控制系統(tǒng)設(shè)計(jì)

      楊金龍,張衛(wèi)芳,宋紅超

      (黑龍江科技大學(xué)電氣與控制工程學(xué)院,黑龍江 哈爾濱 150022)

      電壓空間矢量脈寬調(diào)制技術(shù)廣泛應(yīng)用于市場(chǎng)中的電機(jī)控制系統(tǒng)中。對(duì)其進(jìn)行了詳細(xì)的介紹,設(shè)計(jì)了以Alteral公司的EP4CE15F17C8N芯片為主控芯片的異步電動(dòng)機(jī)矢量控制系統(tǒng)的實(shí)驗(yàn)裝置,對(duì)系統(tǒng)的軟件設(shè)計(jì)及其硬件的組成進(jìn)行了說(shuō)明,并通過(guò)Matlab/simulink對(duì)系統(tǒng)進(jìn)行建模仿真實(shí)驗(yàn)。結(jié)合仿真結(jié)果分析,方案達(dá)到了預(yù)期的目的。

      SVPWM;FPGA;矢量控制系統(tǒng)

      1 引言

      現(xiàn)代變頻調(diào)速系統(tǒng),因其優(yōu)質(zhì)的啟動(dòng)、調(diào)速、制動(dòng)的性能而被廣泛的應(yīng)用于各類(lèi)企業(yè)中。提到電壓矢量脈寬調(diào)制技術(shù)的同時(shí),不得不提到另一項(xiàng)之前廣泛應(yīng)用的正弦脈寬調(diào)試技術(shù)(SPWM),后者存在著明顯的缺陷,即對(duì)直流電壓的利用率低、由于載波頻率影響造成的較大的開(kāi)關(guān)損耗、電機(jī)低速運(yùn)行時(shí)會(huì)產(chǎn)生轉(zhuǎn)矩脈動(dòng)。設(shè)計(jì)中使用的 EP4CE15F17C8N是Alteral公司推出的一款Cyclone IV代FPGA。該FPGA具有15408個(gè)邏輯單位,516096個(gè)RAM位,165個(gè)輸入輸出單元,963個(gè)LAB/CLB數(shù),可利用的資源豐富,通過(guò)SDRAM技術(shù)對(duì)FPGA進(jìn)行動(dòng)態(tài)配置。論文介紹了SVPWM的基本原理、算法和如何通過(guò)FPGA的實(shí)現(xiàn),并給出了具體的仿真結(jié)果。

      2 SVPWM的基本原理

      SVPWM從根本上來(lái)講是對(duì)開(kāi)關(guān)函數(shù)的一種優(yōu)化,主要是面向以交流電動(dòng)機(jī)為負(fù)載的三相對(duì)稱(chēng)系統(tǒng)??傮w來(lái)說(shuō),SVPWM將逆變器與電動(dòng)機(jī)統(tǒng)一為一個(gè)整體,通過(guò)8個(gè)基本的電壓矢量來(lái)合成我們希望得到的電壓矢量,并以三相波形整體生成為前提,以電機(jī)磁鏈和電壓的關(guān)系為原則,以此實(shí)現(xiàn)電動(dòng)機(jī)的變頻調(diào)速。

      2.1 兩電平SVPWM基本原理

      三相電壓源逆變器的拓?fù)鋱D如圖1所示。一般情況逆變器六拍運(yùn)行,即含有六個(gè)開(kāi)關(guān)管,每相隔60°切換一次,每相橋臂均有開(kāi)關(guān)兩種模式,因此一個(gè)周期內(nèi)共有8種開(kāi)關(guān)狀態(tài),如圖2所示。其中,0的含義為下開(kāi)關(guān)閉合,上開(kāi)關(guān)關(guān)斷;1的含義與其相反。其中的6個(gè)非零矢量U1(001)~U6(110)空間互差 ,每個(gè)扇區(qū)由兩個(gè)非零矢量組成。U7(111)和U0(000)位于圖形中心,每一個(gè)空間矢量的幅值均為2Ud/3。

      圖1 三相電壓源逆變器拓?fù)浣Y(jié)構(gòu)

      圖2 SVPWM空間矢量及扇區(qū)劃分

      2.2 矢量的基本作用時(shí)間

      現(xiàn)設(shè)定參考向量電壓矢量落入?yún)^(qū)間Ⅱ,可知參考電壓向量Uref由U4、U5和兩個(gè)零矢量電壓U0、U7合成,如圖2所示,由此可知,合成向量需滿(mǎn)足下列條件:

      (1)

      T=Tx+Ty+Tz

      (2)

      式中,Tx、Tv、Tz分別表示U4、U5作用時(shí)間和開(kāi)關(guān)截至的時(shí)間,三項(xiàng)的和T表示采樣周期,其值相當(dāng)于一半的切換周期。

      由此可得Tx和Ty。

      (3)

      (4)

      由此可計(jì)算得,當(dāng)Uref出現(xiàn)在其他扇區(qū)的電壓空間矢量時(shí),與之對(duì)應(yīng)的Tx和Tv如表1所示,同時(shí)為了達(dá)到減少器件的開(kāi)關(guān)次數(shù)的目的,由式(1)和式(2)可知,使U0和U7各占一半的時(shí)間即

      (5)

      2.3 扇區(qū)判斷的方法

      與此同時(shí),我們便需要確定Uref所處的扇區(qū),如圖2所示以及Uref在α-β坐標(biāo)系上的分量,通過(guò)下列式子進(jìn)行計(jì)算S0、S1、S2:

      S0=Uβ

      (6)

      S1=sin60°Uα-sin30°Uβ

      (7)

      S2=-sin60°Uα-sin30°Uβ

      (8)

      因此扇區(qū)號(hào)Sec:

      Sec=4sign(S2)+2sign(S1)+sign(S0)

      (9)

      根據(jù)Sec值并結(jié)合表1,即可確定扇區(qū)號(hào)。

      表1 Sec值與扇區(qū)號(hào)的關(guān)系

      3 系統(tǒng)硬件結(jié)構(gòu)以及FPGA的設(shè)計(jì)實(shí)現(xiàn)

      3.1 系統(tǒng)硬件結(jié)構(gòu)

      該電路硬件整體可分為兩個(gè)模塊,一個(gè)是主電路模塊,另一個(gè)是控制模塊。主電路模塊包括整流電路,濾波電路和IPM模塊構(gòu)成的逆變模塊,控制模塊是基于FPGA(EP4CE15F17C8N)為核心的控制電路,還包括電流檢測(cè)模塊,光電編碼器充當(dāng)?shù)霓D(zhuǎn)速檢測(cè)模塊,光耦6N137組成的隔離電路,反相器DM74LS563構(gòu)成的反相器電路對(duì)信號(hào)進(jìn)行反向。系統(tǒng)調(diào)節(jié)方式采用電流內(nèi)環(huán),轉(zhuǎn)速外環(huán)的雙閉環(huán)模式,其結(jié)構(gòu)框圖如圖3所示。

      圖3 系統(tǒng)硬件結(jié)構(gòu)圖

      3.2 Matlab/Simulink的模型建立與仿真

      系統(tǒng)整體仿真模型如圖4所示,仿真模型中SVPWM生成模塊圖和扇選擇模塊圖分別如圖5和圖6所示,經(jīng)仿真后逆變器輸出線(xiàn)電壓和相電壓的波形如圖7和圖8所示。

      圖4 系統(tǒng)整體仿真模型

      圖5 SVPWM生成模塊圖

      圖6 扇區(qū)選擇模塊

      具體仿真結(jié)果如下所示。

      圖7 輸出線(xiàn)電壓波形圖

      圖8 輸出相電壓波形圖

      給定轉(zhuǎn)速條件下,空載起動(dòng),在t=0.1s 時(shí),負(fù)載Tm 從 0 變化到 11.3N*M 時(shí)的線(xiàn)電壓、相電壓、轉(zhuǎn)矩、轉(zhuǎn)速的波形圖如圖 9所示,仿真時(shí)間是 0.5s。

      圖9

      由仿真結(jié)果可知,電機(jī)響應(yīng)速度良好,進(jìn)一步證實(shí)了模型的正確性和理論的可行性。

      3.3 FPGA設(shè)計(jì)實(shí)現(xiàn)

      依據(jù)以上理論分析和仿真分析,通過(guò)FPGA變成進(jìn)行功能實(shí)現(xiàn):FPGA程序通過(guò)原理圖編寫(xiě),將研究?jī)?nèi)容劃區(qū)域判斷模塊,數(shù)據(jù)所存模塊,時(shí)間分配模塊,死區(qū)模塊,開(kāi)關(guān)序列生成模塊等,研究采用Alteral公司FPGA作為主控芯片,并借助Quartus軟件對(duì)程序進(jìn)行編程實(shí)現(xiàn),下附部分程序:

      component sector_scan is

      port(

      -- input port

      clk : in std_logic;

      reset : in std_logic;

      en : in std_logic;

      ma : in std_logic_vector(alfa_beta_width-1 downto 0);

      mb : in std_logic_vector(alfa_beta_width-1 downto 0);

      syn_pulse : in std_logic;

      -- output port

      ta : out std_logic_vector(alfa_beta_width-1 downto 0);

      tb : out std_logic_vector(alfa_beta_width-1 downto 0);

      Vx: out std_logic_vector(2 downto 0);

      Vy: out std_logic_vector(2 downto 0)

      );

      end component;

      4 結(jié)論

      系統(tǒng)運(yùn)用電壓空間矢量脈寬調(diào)制技術(shù)為核心控制技術(shù),運(yùn)用FPGA對(duì)控制策略進(jìn)行實(shí)現(xiàn),程序采用的是VHDL語(yǔ)言進(jìn)行編寫(xiě),系統(tǒng)仿真基于Matlab和Quartus平臺(tái),對(duì)變頻調(diào)速系統(tǒng)進(jìn)行了詳細(xì)的仿真,仿真結(jié)果顯示證明了方案的可靠性和可行性。

      [1] 譚國(guó)俊,張旭隆,曾言敬,等.基于DSP的異步電動(dòng)機(jī)SVPWM變頻調(diào)速系統(tǒng)的設(shè)計(jì)[J] .工礦自動(dòng)化,2009(2):19-22.

      [2] 曾允文.變頻調(diào)速SVPWM技術(shù)的原理、算法與應(yīng)用[M].北京:機(jī)械工業(yè)出版社,2010:10.

      [3] 陳兮,周鳳星,張坤.SVPWM變頻技術(shù)理論建模與控制算法FPGA實(shí)現(xiàn)[J] .組合機(jī)床與自動(dòng)化加工技術(shù),2014(3):86-89.

      [4] 洪乃剛.電力電子、電機(jī)控制系統(tǒng)的建模與仿真[M].北京:機(jī)械工業(yè)出版社,2010:1.

      [5] 沈濤,李橋梁.基于SVPWM的永磁同步電機(jī)控制系統(tǒng)的仿真研究[J].電氣開(kāi)關(guān),2008(1):19-21.

      Design of the Vector Control System for A Synchronous Motor

      YANGJin-long,ZHANGWei-fang,SONGHong-chao

      (School of Electrical and Control Engineering of HUST,Harbin 150022,China)

      In the power transmission control system for the market,the SVPWM is widely used.The paper analyzed the principle of Space vector pulse width modulation,designed SVPWM frequency conversion speed regulation system which took EP4CE15F17C8N of Alteral chip as controller,introduced the software realization and the hardware structure of the system,built up simulation model of the system in the Matlab software.Combine with the result of the simulation,this scheme contains the advantage of the SVPWM and FPGA,has important application value in the motion control system.

      SVPWM;FPGA;vector control system

      1004-289X(2015)05-0012-04

      黑龍江省教育廳項(xiàng)目

      TM34

      B

      猜你喜歡
      扇區(qū)變頻矢量
      分階段調(diào)整增加扇區(qū)通行能力策略
      南北橋(2022年2期)2022-05-31 04:28:07
      矢量三角形法的應(yīng)用
      U盤(pán)故障排除經(jīng)驗(yàn)談
      基于貝葉斯估計(jì)的短時(shí)空域扇區(qū)交通流量預(yù)測(cè)
      基于矢量最優(yōu)估計(jì)的穩(wěn)健測(cè)向方法
      低壓除氧水泵變頻改造
      三角形法則在動(dòng)態(tài)平衡問(wèn)題中的應(yīng)用
      重建分區(qū)表與FAT32_DBR研究與實(shí)現(xiàn)
      合康變頻再獲發(fā)明專(zhuān)利證書(shū)
      引風(fēng)機(jī)變頻改造控制策略與應(yīng)用
      河南科技(2014年23期)2014-02-27 14:18:59
      蚌埠市| 萍乡市| 黔西县| 上饶县| 乌拉特中旗| 客服| 静安区| 木里| 玉树县| 喜德县| 合肥市| 德安县| 图片| 崇仁县| 南雄市| 土默特右旗| 密山市| 惠东县| 周至县| 黔东| 阿拉善盟| 鄄城县| 潼南县| 和硕县| 富平县| 海淀区| 巴楚县| 灵寿县| 江都市| 晋江市| 嘉禾县| 泸州市| 红河县| 福贡县| 广南县| 巫溪县| 宾阳县| 凌云县| 澳门| 安龙县| 康保县|